2011-08-05 29 views
5

İlk kez bir VCD oluşturmaya çalışıyorum ve bazı sıkıntılar alıyorum.Modelim üzerinden vhdl simülasyonu için VCD dökümü. NASIL?

ben varlık sim_minimips ihtiva bench_minimips.vhdl adında bir testbençi var. Ben bunu taklit etmek ve bunun dışında bir VCD almak istiyorum.

vsim work.sim_minimips 
vcd file myvcd1.vcd 
vcd add -file bench_minimips.vhd/* 
run 

ama boş bir dosyada myvcd1.vcd açarsanız:

i Modelsim kabuğunda aşağıdaki komutu yazarak ediyorum. Çöpü yaratmak için ne yapmalıyım?

Ben de başka bir forumda komutu sudgested olarak uğraş:

wlf2vcd -o myvcd2.vcd vsim.wlf 

ancak hata oluşturulur. i bulmak tüm web siteleri TCL altera veya başka bir şirket tarafından üretilen ve ben bakmak theyr içerik yok kullanılacağını anlatır çünkü

Gerçekten anlamada kayboldum.

Birisi ne yapmam gerektiğini biliyor mu?

En

, Stefano

cevap

5

vcd add için -file parametre sinyallerini belirtmek için kullanılan değil, VCD adı (birkaç oluşturduk varsa).

Bunun yerine ne istediğiniz simülasyon nesneleri eklemektir.

vcd file myvcd1.vcd 
vcd add -r /sim_minimips/* 
+0

de mükemmel: Örneğin! işe yaradı! çok teşekkürler! – Stefano