5
Ben şu VHDL kodu, bir projenin onun bir varlık:Nesne kullanılıyor, ancak bildirilmiyor?
library ieee;
use ieee.std_logic_1164.all;
library work;
use work.typedef.all;
entity uc is
port(faaaa: in std_logic_vector(15 downto 0);
phi: in std_logic;
isDirect,isRam,jmp,store,NarOut,arpOut:out std_logic);
end entity uc;
architecture b8 of ua is
signal instt : std_logic_vector(15 downto 0);
signal bit7: std_logic;
begin
bit7<='0';
instt <= faaaa;
....
process(phi) is
....
end process;
end architecture b8;
hata söylüyor:
ilan yapmıyorsa ne Burada yanlış mı yapıyorum?nesne "faaaa" kullanılan ancak
Bu hata iletisi bir sentez/simülasyon aracı için geçerlidir. Başkalarının bu mesajı arayabilmesi için lütfen adını etiket olarak ekleyebilir misiniz? – Paebbels
@Paebbels, bu bir Quartus mesajıdır [ID: 10482] (http://quartushelp.altera.com/14.0/mergedProjects/msgs/msgs/evrfx_vhdl_is_not_declared.htm). Bir yerlerde, mimarlıkta bulunan “faaaa” nın bildirgesine sahip olmayan bir ucun analiz edildiğini tespit ettik (b8). Beyanname ile birlikte olan varlık, gösterilen mimaride kullanılmamaktadır. – user1155120