2016-03-26 21 views
0

çalışmıyor (muhtemelen yanlış!) Komutugörselleştirme yosys çıktı kullanıyorum

yosys -f verilog -p "prep; show stretch count.dot" count.v 

Ben hiçbir çıkış vererek beklediğiniz gibi

Onun çalışmıyor

module count(input clk,output [7:0] LEDS); 

reg [26:0] count; 
assign LEDS = count[26:19]; 

always @(posedge clk) begin 
    count <= count + 1; 
end 

endmodule 
Aşağıdaki basit örnek bir dosya adı istemiyorum ...

3. Generating Graphviz representation of design. 
Writing dot description to `/home/chris/.yosys_show.dot'. 
ERROR: Nothing there to show. 

Bunu yapmanın doğru yolu nedir?

cevap

1

senin görünüşte aradığınız komut satırı:

yosys -p "prep; show -stretch -prefix count -format dot" count.v 
+0

thx üzgünüm bu almak için çok uzun sürdü - gerçek hayatta .... –